Design and Implementation of PRBS Generator using VHDL

Mukherjee, Sandeep and Pandey, Ruchir (2007) Design and Implementation of PRBS Generator using VHDL. BTech thesis.

[img]
Preview
PDF
322Kb

Abstract

Pseudo random binary sequence is essentially a random sequence of binary numbers. So PRBS generator is nothing but random binary number generator. It is ‘random’ in a sense that the value of an element of the sequence is independent of the values of any of the other elements. It is 'pseudo' because it is deterministic and after N elements it starts to repeat itself, unlike real random sequences.
The implementation of PRBS generator is based on the linear feedback shift register (LFSR). The PRBS generator produces a predefined sequence of 1's and 0's, with 1 and 0 occurring with the same probability. A sequence of consecutive n*(2^n -1) bits comprise one data pattern, and this pattern will repeat itself over time.

Item Type:Thesis (BTech)
Uncontrolled Keywords:Resilience, Testbench Implementation
Subjects:Engineering and Technology > Electronics and Communication Engineering > Sensor Networks
Engineering and Technology > Electrical Communications
Engineering and Technology > Instrumentation
Engineering and Technology > Electronics and Communication Engineering > VLSI
Engineering and Technology > Industrial Engineering
Divisions: Engineering and Technology > Department of Electronics and Communication Engineering
ID Code:59
Deposited By:Anshul Baranwal
Deposited On:05 May 2009 15:21
Last Modified:05 May 2009 15:21
Supervisor(s):Mahapatra, K K

Repository Staff Only: item control page